Welcome![Sign In][Sign Up]
Location:
Search - traffic light verilog

Search list

[VHDL-FPGA-VerilogTraffic_Light_Final

Description: Traffic light written with Verilog-written with Verilog
Platform: | Size: 1002496 | Author: 吴意曦 | Hits:

[VHDL-FPGA-Verilogtraffic_light

Description: 一个用verilog编写的模拟交通灯控制的源代码。模拟在十字路口的双向交通灯。-a prepared using Verilog simulation of traffic lights to control the source code. Simulation at the crossroads of two-way traffic lights.
Platform: | Size: 6144 | Author: 江河 | Hits:

[VHDL-FPGA-VerilogVerilogtrafficcontrol

Description: 这是一个用Verilog编写的一个交通灯控制程序-This is a Verilog prepared using a traffic light control procedures
Platform: | Size: 1024 | Author: 王横 | Hits:

[VHDL-FPGA-Verilogtraffic

Description: 利用Verilog实现交通灯控制 Quartus II平台实现仿真 -Verilog realize the use of traffic lights to control Quartus II simulation platform
Platform: | Size: 145408 | Author: 许东滨 | Hits:

[VHDL-FPGA-VerilogTraffic

Description: 使用ALTERA上DE2平台,使用Verilog描述,交通灯控制。-Using ALTERA on DE2 platform, use the Verilog description of the traffic light control.
Platform: | Size: 263168 | Author: 徐朝凯 | Hits:

[VHDL-FPGA-Verilogtraffic

Description: Verilog HDL语言设计的交通灯设计-Verilog HDL language designed traffic light design
Platform: | Size: 312320 | Author: yyfeng | Hits:

[VHDL-FPGA-VerilogVerilog

Description: VHDL实现的红绿灯控制系统,简单而又实用。-VHDL to achieve traffic light control system, a simple and practical.
Platform: | Size: 123904 | Author: 卢鑫 | Hits:

[VHDL-FPGA-Verilogtraffic_controller

Description: it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.-it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.
Platform: | Size: 34816 | Author: yasir ateeq | Hits:

[Othertraffic

Description: verilog HDl 交通灯的实现,而且这是有别于一般的vhdl语言-verilog HDl traffic light
Platform: | Size: 324608 | Author: 萧海武 | Hits:

[VHDL-FPGA-Verilogjtd

Description: 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
Platform: | Size: 48128 | Author: jyb | Hits:

[VHDL-FPGA-Verilogtrafficlight

Description: 已应用在北京某校园内的交通灯控制程序,可以自动控制,手动控制,可以输入设定时间等等。verilog源代码-Has been used in a Beijing campus traffic light control procedures can be automatic, manual control, you can enter the set-up time, etc.. verilog source code
Platform: | Size: 8192 | Author: johnnyz | Hits:

[Othertraffic

Description: verilog交通灯程式,分别A方向和B方向的交通灯,-verilog of traffic light system,which are A direction and B direction of traffic light.
Platform: | Size: 477184 | Author: llpru | Hits:

[VHDL-FPGA-Verilogtraffic-light

Description: 一个交通控制灯的设计,用于十字路口,有倒计时功能,Verilog语言编写,Quratus II编译通过。-The design of a traffic control light for the intersection, a countdown function, Verilog language, Quratus II compile.
Platform: | Size: 552960 | Author: 姜涛 | Hits:

[Software Engineeringverilog-traffic-light

Description: 基于VerilogHDL设计的交通灯控制系统本设计利用Verilog HDL 语言、采用层次化混合输入方式,可控制4个路口的红、黄、绿、左转四盏信号灯,让其按特定的规律进行变化。 -This design using Verilog HDL language, adopt hierarchical mixed input method, four intersection control of red, yellow, green, left four lamp lights, let its according to specific rule changes.
Platform: | Size: 98304 | Author: 镶牙另 | Hits:

[VHDL-FPGA-Verilogverilog_jiaotongdeng

Description: 为Verilog Hdl代码,实现交通灯系统每个路口每次绿灯维持的时间是40 秒,黄灯为5 秒 ,左转灯10秒,红灯60秒-Code for the Verilog Hdl, to achieve traffic light system to maintain each intersection green time for each 40 seconds, yellow for 5 seconds, turn left at light for 10 seconds, the red light 60 seconds
Platform: | Size: 148480 | Author: 张树威 | Hits:

[VHDL-FPGA-VerilogTraffic-lights

Description: 该程序是由verilog实现的fpga的交通灯 适用于cycloneII芯片 适用于初学者 了解fpga的基本功能-The program is a verilog realize fpga of traffic light is applicable to cycloneII chip It is suitable for beginners to understand the basic function of fpga
Platform: | Size: 471040 | Author: 林原 | Hits:

[VHDL-FPGA-Verilogtraffic-light

Description: 实现双向交通灯控制的Verilog HDL代码-Verilog HDL code to control bi-direction traffic light
Platform: | Size: 1024 | Author: 吴原远 | Hits:

[VHDL-FPGA-Verilogtraffic-light

Description: 使用verilog实现的简单交通灯控制程序,只是实现的红绿黄灯定时。-traffic light control circuit。however,just including red,green,yellow light
Platform: | Size: 1024 | Author: konglingjun | Hits:

[VHDL-FPGA-VerilogFPGA-traffic-light

Description: 基于FPGA的交通灯控制器的设计,利用的是verilog HDL 语言。-design of traffic light based on FPGA,use the veriog HDL language.
Platform: | Size: 1024 | Author: 夏勇 | Hits:

[VHDL-FPGA-Verilogtraffic-light

Description: Verilog based traffic light controller source code
Platform: | Size: 1024 | Author: pravat | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net